Part Number Hot Search : 
OP123 AT93C SI570 FAN105 TBS6416B HD74AC IRGPC50S CM200
Product Description
Full Text Search
  3.3x3.3 Datasheet PDF File

For 3.3x3.3 Found Datasheets File :: 2111    Search Time::2.344ms    
Page :: | 1 | 2 | 3 | 4 | 5 | 6 | 7 | <8> | 9 | 10 | 11 | 12 | 13 | 14 | 15 |   

    RFMD[RF Micro Devices]
Part No. RF2870 RF2870PCBA
OCR Text ...ipment 0.10 C A 0.05 C 3.00 2 PLCS The RF2870 is a receiver front-end for CDMA cellular applications. It is designed to ampli...3x3 Features * 3mmx3mm LNA/Mixer Solution * Adjustable Mixer Current/IIP3 MIX GAIN MIX IN ...
Description CDMA LOW NOISE AMPLIFIER/MIXER 900MHz DOWNCONVERTER

File Size 397.13K  /  14 Page

View it Online

Download Datasheet





    ANADIGICS, Inc.
ANADIGICS[ANADIGICS, Inc]
ANADIGICS[ANADIGICS Inc]
Part No. RFSP5022 PRFS-P5022-EVL PRFS-P5022-009 PRFS-P5022-008 PRFS-P5022-007 PRFS-P5022-006 PRFS-P5022-005
OCR Text ...The part operates off a single +3.3V supply. VCC2 RF IN 2 Input Match VCC1 VCC 8 RF OUT/ VCC3 Product Features G...3x3 mm Package Outline 05/2003 RFSP5022 5.15-5.85 GHz U-NII Power Amplifier Parameter 1 Sp...
Description 5.15-5.85 GHz U-NII Power Amplifier 5.15-5.85千兆赫的U - NII功率放大
5.15-5.85 GHz U-NII Power Amplifier 5150 MHz - 5850 MHz RF/MICROWAVE NARROW BAND MEDIUM POWER AMPLIFIER
Single-band power amplifiers
The RFS P5022 power amplifier is a high-performance GaAs HBT IC designed for use in a transmit applications in the 5.15-5.85 GHz ...

File Size 72.73K  /  4 Page

View it Online

Download Datasheet

    RMPA1965NBSP RMPA1965

Fairchild Semiconductor, Corp.
Fairchild Semiconductor Corporation
Part No. RMPA1965NBSP RMPA1965
OCR Text ... compliant low-profile package (3.0 x 3.0 x 1.0 mm nominal) Internally matched to 50 and DC blocked RF input/output Meets CDMA2000-1XRTT/W...3x3 US-PCS PAM Vcc = 3.4V, Vref = 2.85V, Vmode = 0V, Pout = 28dBm 32 31 30 29 28 27 26 25 24 23 22 ...
Description US-PCS CDMA, CDMA2000-1X and WCDMA PowerEdge Power Amplifier Module 1850 MHz - 1910 MHz RF/MICROWAVE NARROW BAND LOW POWER AMPLIFIER

File Size 107.31K  /  9 Page

View it Online

Download Datasheet

    ti
Part No. ADS1203
OCR Text ... INTEGRAL LINEARITY ERROR (LSB) 3 MAXIMUM GAIN ERROR (%) PACKAGELEAD PACKAGE DESIGNATOR(1) SPECIFIED TEMPERATURE RANGE PACKAGE MARKING ORDER...3x3) package available soon. ABSOLUTE MAXIMUM RATINGS over operating free-air temperature range ...
Description Motor Control, Current Msrmnt, 1-Bit, 10MHz, 2nd-Order, D-S Modulator

File Size 261.55K  /  24 Page

View it Online

Download Datasheet

    ADSP-21267 21267

Analog Devices
Part No. ADSP-21267 21267
OCR Text ...E SS/ D A TA B U S/ GP IO 16 3 JTAG TES T & EMULATIO N 6 SIG NAL ROUTING UNIT SERIAL PORTS (4) CON TR OL/G PIO 20 INPU...3x3] x [3x1] [4x4] x [4x1] Divide (y/x) Inverse Square Root 1 * Three Programmable Interval Time...
Description Cost-Effective 32-Bit Floating-Point SHARC Processor for Consumer Audio
From old datasheet system

File Size 440.09K  /  44 Page

View it Online

Download Datasheet

    PL680-37 PL680-38 PL680-39 PL680-37OC PL680-37OCL-R PL680-38OC-R PL680-38QC-R PL680-37OC-R PL680-37OCL PL680-37QC PL680-

PLL[PhaseLink Corporation]
Part No. PL680-37 PL680-38 PL680-39 PL680-37OC PL680-37OCL-R PL680-38OC-R PL680-38QC-R PL680-37OC-R PL680-37OCL PL680-37QC PL680-37QC-R PL680-37QCL PL680-37QCL-R PL680-38OC PL680-38OCL PL680-38OCL-R PL680-38QC PL680-38QCL PL680-38QCL-R PL680-39OC PL680-39OC-R PL680-39OCL PL680-39OCL-R PL680-39QC PL680-39QC-R PL680-39QCL PL680-39QCL-R P680-39QCL P680-37OC P680-37OCL P680-37QC P680-37QCL P680-38OC P680-38OCL P680-38QC P680-38QCL P680-39OC P680-39OCL P680-39QC
OCR Text ... Output Enable selector. 2.5V & 3.3V operation. Available in 3x3 QFN or 16-pin TSSOP packages. PACKAGE PIN ASSIGNMENT VDDANA XIN XOUT SEL2^ OE_CTRL DNC GNDANA LP 1 2 3 4 5 6 7 8 16 15 14 13 12 11 10 9 SEL0^ SEL1^ GNDBUF QBAR VDDBUF Q GN...
Description    38-640MHz Low Phase Noise XO

File Size 295.02K  /  10 Page

View it Online

Download Datasheet

    PLL520-38-39 PLL520-38 PLL520-39 PLL520-38OC P520-39QC P520-38OC P520-38QC P520-39OC PLL520-38OC-R PLL520-38QC PLL520-38

PLL[PhaseLink Corporation]
Part No. PLL520-38-39 PLL520-38 PLL520-39 PLL520-38OC P520-39QC P520-38OC P520-38QC P520-39OC PLL520-38OC-R PLL520-38QC PLL520-38QC-R PLL520-39OC PLL520-39OC-R PLL520-39QC PLL520-39QC-R
OCR Text ...le capacitors. Supports 2.5V or 3.3V-Power Supply. Available in 16-Pin (TSSOP or 3x3 QFN). PIN CONFIGURATION VDD XIN XOUT N/C N/C OE 1 2 3 4 5 6 7 8 16 15 14 13 12 11 10 9 N/C N/C GND CLKC VDD CLKT N/C N/C PLL 520-3x DESCRIPTION ...
Description    PECL and LVDS Low Phase Noise VCXO (for 65-130MHz Fund Xtal)

File Size 243.50K  /  7 Page

View it Online

Download Datasheet

    ISL9011IRLLZ ISL9011IRLBZ ISL9011IRKNZ ISL9011IRKKZ ISL9011IRKJZ ISL9011IRKFZ ISL9011IRKCZ ISL9011IRJRZ ISL9011IRJSZ ISL

Intersil, Corp.
INTERSIL[Intersil Corporation]
Part No. ISL9011IRLLZ ISL9011IRLBZ ISL9011IRKNZ ISL9011IRKKZ ISL9011IRKJZ ISL9011IRKFZ ISL9011IRKCZ ISL9011IRJRZ ISL9011IRJSZ ISL9011 ISL9011IRBCZ ISL9011IRBCZ-T ISL9011IRBKZ-T ISL9011IRBLZ-T ISL9011IRBUZ-T ISL9011IRCJZ ISL9011IRCLZ-T ISL9011IRCNZ ISL9011IRDMZ ISL9011IRFCZ ISL9011IRFCZ-T ISL9011IRFMZ ISL9011IRNNZ ISL9011IRNNZ-T ISL9011IRNJZ ISL9011IRNJZ-T ISL9011IRVVZ-T ISL9011IRBJZ ISL9011IRBJZ-T ISL9011IRBKZ ISL9011IRBLZ ISL9011IRBUZ ISL9011IRCFZ ISL9011IRCFZ-T ISL9011IRCJZ-T ISL9011IRCLZ ISL9011IRCMZ ISL9011IRCMZ-T ISL9011IRCNZ-T ISL9011IRCRZ ISL9011IRCRZ-T ISL9011IRDMZ-T ISL9011IRFJZ ISL9011IRFJZ-T ISL9011IRFMZ-T ISL9011IRFNZ ISL9011IRFNZ-T ISL9011IRFTZ ISL9011IRFTZ-T ISL9011IRGCZ ISL9011IRGCZ-T ISL9011IRGMZ ISL9011IRGMZ-T ISL9011IRJBZ ISL9011IRJBZ-T ISL9011IRJCZ ISL9011IRJFZ ISL9011IRJFZ-T ISL9011IRJJZ ISL9011IRJJZ-T ISL9011IRJMZ ISL9011IRJMZ-T ISL9011IRJNZ ISL9011IRJNZ-T ISL9011IRJRZ-T ISL9011IRJSZ-T ISL9011IRKCZ-T ISL9011IRKFZ-T ISL9011IRKJZ-T ISL9011IRKKZ-T ISL9011IRKNZ-T ISL9011IRLBZ-T ISL9011IRLLZ-T ISL9011IRMGZ ISL9011IRMGZ-T ISL9011IRMJZ ISL9011IRMJZ-T ISL9011IRMKZ ISL9011IRMKZ-T ISL9011IRMMZ ISL9011IRMMZ-T ISL9011IRMNZ ISL9011IRMNZ-T ISL9011IRMSZ ISL9011IRMSZ-T ISL9011IRNCZ ISL
OCR Text ...for each LDO range from 1.2V to 3.6V. Features * Integrates two high performance LDOs - VO1 - 150mA output - VO2 - 300mA output * Excell...3X3 DFN TOP VIEW * Current limit and overheat protection * 1.8% accuracy over all operating condi...
Description Dual LDO with Low Noise, Low IQ, and High PSRR DUAL OUTPUT, FIXED POSITIVE LDO REGULATOR, PDSO10
Dual LDO with Low Noise, Low IQ, and High PSRR 双路LDO稳压器具有低噪音,低智商,及高PSRR
CAC 7C 7#16S SKT PLUG
Aluminum Electrolytic Capacitor; Capacitor Type:General Purpose; Voltage Rating:50VDC; Capacitor Dielectric Material:Aluminum Electrolytic; Operating Temperature Range:-55 C to 105 C; Body Diameter:10mm; Capacitance:470uF RoHS Compliant: Yes

File Size 281.29K  /  12 Page

View it Online

Download Datasheet

    NB4L52

ON Semiconductor
Part No. NB4L52
OCR Text 3.3V/5V Differential Data/Clock D Flip-Flop with Reset Multi-Level Inputs to LVPECL Translator w/ Internal Termination The NB4L52 is a dif...3x3 mm 16 pin QFN package. Features http://onsemi.com MARKING DIAGRAM* 16 1 1 QFN-16 MN SUFF...
Description 2.5 to 5.5V ECL D-Flip-flop w/Diff Reset & Input Terms
From old datasheet system

File Size 66.58K  /  8 Page

View it Online

Download Datasheet

    NB7L32M NB7L32MMNG NB7L32MMNR2G

ONSEMI[ON Semiconductor]
Part No. NB7L32M NB7L32MMNG NB7L32MMNR2G
OCR Text 3.3V, 14GHz /2 Clock Divider w/CML Output and Internal Termination Descriptions The NB7L32M is an integrated /2 divider with differentia...3x3 mm 16 pin QFN package. Features http://onsemi.com MARKING DIAGRAM* 16 1 QFN-16 MN SUFFIX...
Description 2.5V/3.3V, 14GHz 梅2 Clock Divider w/CML Output and Internal Termination(w/CML杈??????ㄧ?绔??2.5V/3.3V, 14GHz 梅2?堕??????
2.5V/3.3V, 14GHz ÷2 Clock Divider w/CML Output and Internal Termination(w/CML输出和内部终端,2.5V/3.3V, 14GHz ÷2时钟分频
From old datasheet system
2.5V/3.3V, 14GHz ±2 Clock Divider w/CML Output and Internal Termination

File Size 171.32K  /  11 Page

View it Online

Download Datasheet

For 3.3x3.3 Found Datasheets File :: 2111    Search Time::2.344ms    
Page :: | 1 | 2 | 3 | 4 | 5 | 6 | 7 | <8> | 9 | 10 | 11 | 12 | 13 | 14 | 15 |   

▲Up To Search▲

 




Price and Availability




 
Price & Availability of 3.3x3.3

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X
0.58721494674683